[BACK]Return to mux.c CVS log [TXT][DIR] Up to [local] / src / usr.bin / ssh

Diff for /src/usr.bin/ssh/mux.c between version 1.100 and 1.101

version 1.100, 2023/08/18 01:37:41 version 1.101, 2023/11/23 03:37:05
Line 2257 
Line 2257 
         switch (options.control_master) {          switch (options.control_master) {
         case SSHCTL_MASTER_AUTO:          case SSHCTL_MASTER_AUTO:
         case SSHCTL_MASTER_AUTO_ASK:          case SSHCTL_MASTER_AUTO_ASK:
                 debug("auto-mux: Trying existing master");                  debug("auto-mux: Trying existing master at '%s'", path);
                 /* FALLTHROUGH */                  /* FALLTHROUGH */
         case SSHCTL_MASTER_NO:          case SSHCTL_MASTER_NO:
                 break;                  break;

Legend:
Removed from v.1.100  
changed lines
  Added in v.1.101